Saturday, January 9, 2016

VHDL

Assalamualaikum warahmatullahi wabarakatuh. :D

Salah satu contoh gambar rangkuman VHDL Desain Flow
Singkatan VHDL adalah VHSIC Hardware Description Language, dimana VHSIC adalah singkatan dari Very High Speed Integrated Circuit. Jadi VHDL adalah bahasa pemrograman tingkat tinggi untuk mendeskripsikan rangkaian digital. VHDL merupakan bagian dari pemrograman FPGA (Field Programmable Gate Array) yaitu chip yang berisi matrik cell logika dengan interkoneksi program, dan dapat dikonfigurasi oleh penggunanya.

VHDL pada awalnya dikembangkan oleh departemen pertahanan Amerika (DoD) pada tahun 1981. Pada tahun 1987 pengelolaanya diserahkan ke IEEE supaya bisa dipakai secara luas oleh pihak industri. IEEE yang melakukan standardisasi pada VHDL (1987,1992, 1999).

VHDL amat sesuai untuk perancangan dengan piranti programmable logic. VHDL menyediakan konstruksi bahasa level tinggi yang memungkinkan perancang untuk menggambarkan sirkuit besar dan membawa produk ke pasar secara cepat.   Bahasa tersebut dimaksudkan untuk digunakan sebagai bahasa modelling yang bisa diproses dengan software untuk tujuan-tujuan simulasi. VHDL terdiri dari suatu simbol sederhana dan notasi yang dapat menggantikan diagram skematik dan satu rangkaian digital dan bisa berupa progam simulasi yang digunakan untuk verifikasi desain atau untuk membentuk perangkat keras secara otomatis. Dengan perancangan VHDL yang terdiri dari sejumlah gate-gate (gerbang) ini bisa membuat ribuan gerbang yang mana apabila didesain dengan menggunakan skema atau persamaan boole akan memakan waktu yang lebih lama.  Selain itu kelebihan dari VHDL adalah :
  1. Efisien dan fleksibel   :  VHDL adalah bahasa yang dapat digunakan untuk  menuliskan kode diskripsi yang lebih efisien untuk mengontrol logika sehingga bisa lebih kompleks.  VHDL juga menyediakan library design yang dapat digunakan sebagai desain maupun simulasi.
  2. Desain alat sendiri  :  VHDL dapat mendesain alat tanpa harus memilih terlebih dahulu alat apa yang akan didesain tersebut dapat diimplementasikan, dan waktu yang ada bisa dikonsentrasikan pada desainnya.
  3. Portabilitas  : Karena VHDL merupakan standar dari setiap deskripsi desain sehingga dapat dipakai untuk berbagai macam simulai sintesa.
  4. Kemampuan berchmarking  :  VHDL bisa mendesain alat dengan arsitektur alat maupun sintesa yag berbeda-beda dan tidak perlu memilih terlebih dahulu apakah menggunakan CPLD atau FPGA.  Desain dan sintesa terlebih dahulu dilakukan baru memilih IC yang akan digunakan.  Sehingga IC-IC yang ada bisa dibandingkan untuk memperoleh IC yang tepat untuk desain.
  5. Perpindahan ke ASIC  :  Dengan tingkat efisiensi yang dihasilkan oleh VHDL, maka setiap produk yang dibuat bisa memiliki fungsi seperti yang diharapkan, sehingga IC yang dibuat menjadi spesifik.
  6. Kecepatan proses dan biaya rendah  :  Dengan menggunakan VHDL maka kecepatan proses dapat ditingkatkan dan biaya dapat ditekan.  Sebuah IC PLD dapat menggantikan banyak IC logika biasa

VHDL adalah salah satu jenis bahasa HDL tingkat tinggi yang digunakan untuk:
  1. Design Entry (synthesis) untuk FPD dan ASIC.Menjelaskan (menerangkan) struktur dan perilaku (behaviour) dari rancangan elektronika digital yang dibuat. Rancangan yang dibuat ini nantinya dapat diimplementasikan pada sistem digital baik berupa FPD (Field ProgrammableDevices) atau ASIC (Application Specific Integrated Circuit).
  2. Melakukan simulasi (analisis)
  3. Test (uji coba) fungsionalitas dari rancangan.
Contoh HDL yang lain: Verilog, Abel, IHDL (Intel HDL), dan UDL/I

 (Download materi VHDL disini)


Wassalamualaikum warahmatullahi wabarakatuh.

Barokallah always :)

0 comments:

Post a Comment